Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS

Heisswolf J, Zaib A, Weichslgartner A, König R, Wild T, Teich J, Herkersdorf A, Becker J (2012)


Publication Type: Conference contribution

Publication year: 2012

Publisher: IEEE Press

Edited Volumes: Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2012

City/Town: New York, NY, USA

Pages Range: 234-241

Conference Proceedings Title: Proc. of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW)

Event location: Shanghai CN

ISBN: 978-1-4673-0974-5

DOI: 10.1109/IPDPSW.2012.25

Abstract

Networks-on-Chip have shown their scalability for future many-core systems on chip. In real world scenarios, concurrent applications with different QoS requirements affect each other through overlapping communication. Therefore computation resources may not be efficiently utilized because the required communication resources are already occupied. Hence, an efficient resource management strategy is required that ensures fair sharing of communication resources between applications. Decentralized strategies provide better scalability in many-core systems. In this paper, we propose a hardware supported decentralized NoC resource management strategy. Our concept enables to define NoC regions through decentralized reconfigurable resource management policies. It offers improved performance and communication resource allocation within the regions. The proposed concept is investigated through simulation of real world application scenarios. The simulation results highlight the performance benefit within the region and the increased probability for successful reservation of communication resources. Implementation results show the low area overhead of the proposed hardware support. © 2012 IEEE.

Authors with CRIS profile

Involved external institutions

How to cite

APA:

Heisswolf, J., Zaib, A., Weichslgartner, A., König, R., Wild, T., Teich, J.,... Becker, J. (2012). Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS. In Proc. of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW) (pp. 234-241). Shanghai, CN: New York, NY, USA: IEEE Press.

MLA:

Heisswolf, Jan, et al. "Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS." Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), Shanghai New York, NY, USA: IEEE Press, 2012. 234-241.

BibTeX: Download