Cross-Level Compositional Reliability Analysis for Embedded Systems

Glaß M, Yu H, Reimann F, Teich J (2012)


Publication Type: Conference contribution

Publication year: 2012

Journal

Publisher: Springer-verlag

Edited Volumes: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)

City/Town: Berlin; Heidelberg

Pages Range: 111-124

Conference Proceedings Title: Proc. of the 31st International Conference on Computer Safety, Reliability, and Security (SAFECOMP)

Event location: Magdeburg DE

ISBN: 978-3-642-33677-5

DOI: 10.1007/978-3-642-33678-2_10

Abstract

Ever shrinking device structures are one of the main reasons for a growing inherent unreliability of embedded system components. As a remedy, various means to increase the reliability of complex embedded systems at several levels of abstraction are available. In fact, their efficient application is a key factor for the successful design of reliable embedded systems. While analysis approaches that evaluate these techniques and their advantages and disadvantages at particular levels exist, an overall system analysis that has to work cross-level is still lacking. This paper introduces a framework for cross-level reliability analysis that enables a seamless and flexible combination of various reliability analysis techniques across different levels of abstraction. For this purpose, a proposed framework provides mechanisms for (a) the composition and decomposition of the system during analysis and (b) the connection of different levels of abstraction by adapters that convert and abstract analysis results. As a case-study, the framework extends and combines three analysis approaches from the MPSoC domain: (I) a BDD-based reliability analysis considers redundancies in the system structure, (II) an analytical behavioral model to consider computational activity, and (III) a temperature simulator for processor cores. This enables to capture thermal reliability threats at transistor level in an overall system analysis. The approach is seamlessly integrated in an automatic Electronic System Level (ESL) tool flow. © 2012 Springer-Verlag.

Authors with CRIS profile

How to cite

APA:

Glaß, M., Yu, H., Reimann, F., & Teich, J. (2012). Cross-Level Compositional Reliability Analysis for Embedded Systems. In Proc. of the 31st International Conference on Computer Safety, Reliability, and Security (SAFECOMP) (pp. 111-124). Magdeburg, DE: Berlin; Heidelberg: Springer-verlag.

MLA:

Glaß, Michael, et al. "Cross-Level Compositional Reliability Analysis for Embedded Systems." Proceedings of the 31st International Conference on Computer Safety, Reliability, and Security (SAFECOMP), Magdeburg Berlin; Heidelberg: Springer-verlag, 2012. 111-124.

BibTeX: Download