Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning

Schmidt B, Ziener D, Teich J, Zöllner C (2017)


Publication Language: English

Publication Type: Journal article

Publication year: 2017

Journal

Abstract

Existing scrubbing techniques for SEU mitigation on FPGAs do not guarantee an error-free operation after SEU recovering if the affected configuration bits do belong to feedback loops of the implemented circuits.
In this paper, we a) provide a netlist-based circuit analysis technique to distinguish so-called \emph{critical} configuration bits from \emph{essential} bits in order to identify configuration bits which will need also state-restoring actions after a recovered SEU and which not. Furthermore, b) an alternative classification approach using fault injection is developed in order to compare both classification techniques. Moreover, c) we will propose a floorplanning approach for reducing the effective number of scrubbed frames and d), experimental results will give evidence that our optimization methodology not only allows to detect errors earlier but also to minimize the Mean-Time-To-Repair (MTTR) of a circuit considerably.
In particular, we show that by using our approach, the MTTR for datapath-intensive circuits can be reduced by up to 48.5 \% in comparison to standard approaches.

Authors with CRIS profile

How to cite

APA:

Schmidt, B., Ziener, D., Teich, J., & Zöllner, C. (2017). Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning. Integration-The Vlsi Journal.

MLA:

Schmidt, Bernhard, et al. "Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning." Integration-The Vlsi Journal (2017).

BibTeX: Download